您现在所在位置: 开元体育 > 新闻中心 > 行业动态

公司资讯

Company information

行业动态

Industry dynamics

常见问题

Common Problem

开元体育网址浅谈半导体先进制程大战

发布日期:2024-07-05 22:04 浏览次数:

  开元体育网址浅谈半导体先进制程大战人人皆爱英雄末路叙事。英特尔7纳米延迟消息一出,不仅使IDM(垂直整合制造)与Foundry(代工厂)模式孰优孰劣的讨论升温,也使“英特尔掉队”的声音甚嚣尘上。对英特尔及

  推动半导体产业发展的摩尔定律描述,晶体管容量大约每经过24个月增加一倍,代表着芯片制造的微细化趋势。28纳米被视作先进制程与成熟工艺的分水岭,前文提到的7纳米制程作为先进制程,代表了芯片制造商的技术竞争力。

  在产品端,先进制程芯片用于打造高性能终端产品。苹果最新推出的自研芯片M1采用了台积电5纳米制程,其集成的160亿晶体管数量便被视作一大亮点。

  近年,英特尔一直苦于先进制程技术推进受阻。摩根士丹利发布的报告称,从去年底,台积电推出7纳米强效版制程后,台积电在制造能力上已开始超越英特尔。除了丧失制造环节的优势地位,这也意味着,英特尔无法凭借自家芯片升级产品,而竞争对手AMD的7纳米CPU已经被多位客户采用。

  晶圆制造行业最高的回报率通过获取领先技术获得,这是业界已然形成的共识。由于先进制程可以大幅提升芯片性能,且属于稀缺资源,一旦先行研发成功将获得溢价和先发优势。当前,围绕先进制程的大战正愈演愈烈。

  受益于先进制程优势,台积电的毛利率远超其他同类厂商。按应用划分,台积电营收主要来自手机和高性能计算产品,这两个领域均以先进制程为主。按制程划分,近年台积电16纳米及更先进制程的营收贡献程度持续成长,7纳米已成为重要引擎。这也是为什么台积电先进制程进展备受投资者关注的原因之一。

  中芯国际于科创版上市,就意在加速先进制程。淳石资本业务合伙人兼私募证券投资部负责人杨如意曾在接受媒体采访时直言,对于中芯国际来说,何时实现先进制程突破是支撑其股价的靶点之一。

  从需求端来看,芯片制程从14纳米走向5纳米,手机和高性能运算是重要驱动力。半导体周期也不失为一个观察视角,PC主导全球科技的时代落幕,未来将由5GAI奏响市场主旋律。现阶段,受益于5G、智能手机、HPC、AIoT等需求,7纳米及以下先进制程需求旺盛。

  既是技术领先的代名词,又有着旺盛的终端需求,先进制程机遇十分明确。不过,由于先进制程制造环节的资金、技术壁垒极高,这一红利只有高端玩家吃得起。越来越多的参与者已经“出局”,格芯在2018年宣布放弃7纳米研发,联电也在2018年宣布放弃12纳米以下先进制程投资。

  通常来说,能够提供7纳米及以下先进制程工艺的厂商仅有台积电和三星。不过,28纳米之后各家制程节点命名并不完全一致,考虑到英特尔的10纳米性能大致相当于台积电的7纳米,业界认为英特尔实际上也已经迈过7纳米门槛。

  在去年的一场研讨会上,TrendForce集邦咨询分析师徐韶甫将高端制程进展形象地总结为坐7赶5追3。到今年,情况已经发生较大转变。英特尔、台积电和三星均已走过7纳米阶段,其中台积电在该节点取得了绝对优势。随后,台积电和三星在今年双双实现5纳米量产。

  3纳米制程方面,台积电宣布预计于2022年开始量产,三星也放话要在同年投产。近段时间,围绕台积电的2纳米制程释出不少催化消息。现阶段,坐7/5赶3追2是较为恰当的描述。

  成功入围制程竞技高端局,接下来还要比拼什么?开创了晶圆代工模式并把台积电打造成全球晶圆代工龙头的张忠谋自然有发言权。

  2016年,英特尔在年度开发者大会上首度表态,将为全球客户提供晶圆代工服务。全球半导体营收冠军要涉足晶圆代工,张忠谋倒是一点也不惊慌:相信英特尔会发现,水是很冰冷的。在张忠谋看来,产量、良率与背后的一连串应援服务,才是晶圆代工真正的关键价值链。

  晶圆代工本质上属制造业,制程是技术,良率才是关键,玩家首先必须跨过良率大关。原子的大小约为0.1纳米,14纳米的通道仅能供一百多颗原子通过,因此制作过程中只要有一颗原子缺陷、或者出现一丝杂质,就会影响产品的良率。先进制程下,良率的改善难度更是会大大增加。

  在良率方面,台积电绝对是佼佼者。今年下半年,台积电创下了一个里程碑——生产10亿个功能完备且无缺陷的7纳米芯片。台积电先进制程事业发展处处长袁立本撰文指出:我们7纳米制程大量生产的速度比公司之前任何的制程更快,能够快速实现良率的提升。

  进入2020年,英特尔、三星、台积电加速3D封装技术的部署。英特尔Lake field采用Foveros,三星的X-Cube已经在自家的7纳米和5纳米制程上通过验证,台积电的3D封装芯片也计划在今年量产。

  由于7纳米及更先进制程必须借助光刻设备转印半导体电路图案,EUV光刻机可视作观察先进制程大战的一个指标。光刻机龙头ASML在2019年出售了26台极紫外线EUV光刻设备,大概一半面向台积电。三星若要加紧追赶步伐,必须确保光刻设备到位,为此三星掌门人李在镕前不久曾特意飞往ASML荷兰总部。

  值得一提的是,观察光刻机这一维度也应当关注设备使用的熟练程度。由于先发优势,分析人士认为台积电在熟练使用的技术上领先近1年。当然,随着光刻设备趋于复杂精密,先发者也必须先于对手挑战下一代光刻技术,并承担制造过程中的风险。

  看过了前后道工序和上游的设备端开元体育网址,再往下游看自然是围绕客户也就是规模化订单的抢夺。时间差是获得规模化订单的重要条件,在求新求快、赢家通吃的先进制程战场,时间就是生命的说法毫不夸张。换言之,抢客户方面的能力,较大程度上取决于先发优势。

  率先实现5纳米量产的台积电,制程一度由8家客户争抢。即使失去大客户华为,台积电5纳米产能仍能满载。三星5纳米工艺趋稳之际,市场尤其关注它能否填满产能,从而补上投资黑洞。所幸,先进制程需求强劲,吃下英伟达等订单后,三星未来还有谷歌自研芯片等订单在望。

  对追逐先进制程的厂商而言,规模化订单也意味着学习机会。芯片生产同样讲究熟能生巧,如果抢到苹果这样的客户,学习曲线将有机会变得尤其陡峭。台积电原本擅长生产的Arm处理器,被认为运算效能无法与英特尔竞争。苹果最近表态,计划最早明年推出超越英特尔的处理器,对台积电而言这无疑会是一个绝佳的炫技机会。

  需要强调的一点是,我们惯以“大战”这样的军事用语描述厂商如何进行技术竞赛。但是,需留意军事战略思想不一定适用于企业,例如军事目的在于胜负,企业目的在于获利。正如电子分析师贺茂飞所言:良好的盈利能力和稳健合理的资本投入比形成良性循环,才是科技公司用技术创造价值的核心动力。

  资本投入、自研技术之外,无法量化的要素也构成先进制程大战的重要竞争力。从只能制造性能较低的芯片产品到成为头号玩家,揭示台积电的秘密武器,有助于我们理解这一变动。

  首先是基因,它为台积电构建了争夺客户的比较优势。台积电一直强调不与客户竞争,实际上就是喊话给三星听。三星的劣势显而易见,例如自营智能手机和CPU业务,会与苹果、高通等厂商存在利益冲突。从先进制程目前的应用场景来看,智能手机厂商客户扮演重头戏,更能利好专注代工的企业。

  后天习得方面,不得不提台积电的制造管理强度,这与它引以为豪的良率和生产周期紧密相关。地区工研院电子与光电系统研究所所长吴志毅指出,一个先进制程的步骤至少有1000道程序,要让良率达到一定的水准,并不是每一道都达到99.99%就好,因为这样到最后可能连4成的良率都不到。

  “几乎让多数步骤的良率达到百分之百的水准,未来在导入EUV技术时,也才能有一定程度的良率表现,受客户青睐。“

  张忠谋曾在接受《天下》专访时极言“生产周期”的重要性:当前最先进的IC,内部结构像是一个层层叠叠的千层蛋糕,做出每一层所花时间的平均,就称为“生产周期”。他还表示:“我有一个梦想,以后要做到一层一天,不能再长。”

  疫情催化下,数字化转型成为国内各行各业的热点话题。事实上,产线的智能化升级也是台积电进一步提升管理能力的秘密武器。

  台积电在分享7纳米经验时提及,公司在设备中部署了大量的传感器,确保能够收集到每一个有用的数据,以借助人工智能和大数据改善制程。早在2017年,张忠谋就透露:台积电先进制造环境已采用“独特的专家系统,先进算法,类神经网络自我学习的模式”。

  同样在制造管理方面,在分析台积电竞争力时,“风格”这个词跃入笔者脑际,跑得不慢的台积电实则有着稳健的风格。比如在导入EUV时,相比在技术不成熟时直接全面采用EUV的三星,台积电则在沿用FinFET推出7纳米产品的基础上,再推出EUV进阶版。

  其次是设计能力。业界往往认为,IDM有助于借助完整链条极限,以及提早测试更快推出技术。而台积电作为Foundry代表则被贴上专注的标签。与此同时,坚持纯代工策略也被不少人视作台积电的制胜关键。

  事实上,IC参考设计能力也是台积电较为隐秘的武器。业界人士观察:台积电做这么多年的晶圆代工,光是电源管理用的IP,就有非常多的选择。这些设计经过内部测试,只要客户点头,马上就能上线生产。尤其重要的是,设计都留在自家供客户选择使用,不与客户竞争。

  设计能力还曾帮助台积电夺得过订单。财讯的一篇报道援引知情人士称,台积电拿下AMD订单的关键,就与一个处理器专用设计有关。借助该设计, AMD可以在短时间内把处理器核心从4核发展成8核,甚至64核。

  最后也是最为重要的人才,大家讨论最多的是良将,一如专注研发多年,带领上千团队的研发副总经理曹敏,被视作台积电先进制程发展的重要推手。除了挑选好带头人,台积电也着眼于如何吸引并留住年轻世代,比如拉高固定薪酬、专门设定降低新人离职率的目标。

  更进一步则涉及如何提前培育先进制程人才。自今年9月,台积电在6所大学开设“台积电半导体学程”。围绕“公司最重要的资产”,台积电展示出观念与密集的基本动作。

  所有的电子产品、先进科技都需要半导体作为主要处理运算的“大脑”,作为科技产业的底盘,半导体的战略意义无需赘述。

  Arm收购案推进阶段,创始人特意向英国政府否决。他认为:既然美国总统愿意讲全球技术主导地位武器化,英国也应当有自己的贸易武器,否则将成为冲突的附带损失。

  让经济回归经济,总是朴素假设,不同维度的交织,才是无法避免的现实。逆全球化的风吹拂多时,后疫情时代,全球电子供应链重整更是得到提速。

  在世界半导体市场,以最大厂商英特尔为代表的美国企业的份额占到47%。而从产能来看,美国仅占世界的12%。英特尔首席执行官Bob Swan近日刊登公开信,呼吁芯片制造回归美国本土。眼下,美国为了推动半导体的国内生产,开始讨论新投入250亿美元规模的补贴。

  美国芯片产业史上,类似Bob Swan的行动其实有前例可援。上世纪80年代中期开元体育网址,美国芯片企业遭到日本企业围剿,便向美国政府求助。结果之一是建立公私合伙关系以研发尖端制造技术开元体育网址,帮助美国在其开创的产业中重回正轨。

  韩国一直希望能够像此前抢夺存储产业一样,占据晶圆代工市场主导权。就在今年4月,韩国政府宣布了一系列2030年半导体强国目标。着眼于晶圆代工领域,韩国的目标是到2030年实现世界第一。

  地区本就在晶圆制造和封测领域占据优势。今年7月,地区经济部又提出半导体先进制程中心政策蓝图,确定发展四路:关键材料自主化、材料供应在地化、外商设备制造在地化、先进封装设备国产化。业内人士认为,这是地区针对供应链本土化趋势的回应。

  要不要坚守市场主义,一直是前沿科技产业的重要议题。近年来国际政经局势趋紧,全球高科技产业的竞技场上,却看到频繁出没的政策制定者的身影。有分析指出,美国曾向尖端技术的研究开发等投入公共预算,但向工厂建设等直接投入补贴实属罕见。

  科技角力、日韩贸易战等局势下,各国都在学习如何自处。观察先进制程大战,基于宏观维度的考量不可或缺。与此同时,趋于复杂的政经环境,也要求产业政策制定者拿出真正行之有效的方案。

  制程大战不要求参赛者笑到最后,主动出局者也不等同失败者。放弃制程追赶也能够获得不错回报期,比如专注特色工艺的华虹半导体,再比如成功翻盘的联电。

  放弃最先进工艺的比拼,玩家仍能够通过差异化的市场定位形成比较优势;而对于先进制程的坚定追赶者而言,是以短期财务压力为筹码,争取长期竞争力。

  企业经营犹如帆船比赛,必须同时关注产业的风向,竞争者的动作以及本身的团队合作。获取长期竞争力的关键之一,就在于预判好产业未来。一如台积电现任董事长刘德音,能够跳出固有赛道、看清赛局。刘德音曾表示,未来不只在拼制程微缩,而是以逻辑密度或运算能力作为指标。

  产业未来是否有逆风?不仅技术路线不能挑错,引领产业的人还要关注产业寿命。台积电近年开始口径一致,用“柳暗花明又一村”比喻摩尔定律并未消亡。一方面,意指厂商有许多不同的途径可以继续增加密度,持续推进先进制程;一方面,也代表市场应用面够广,值得投入发展。

  可是市场需求存在,技术就定然有生命力吗?“半导体产业还有100年寿命”的专家预言会否过度自信。替代并不总具有积极内涵,非典型竞争者可能用替代路径让其他玩家消失。目力所及之内,量子计算即具有改写半导体产业的潜力。

  一些人认为,地区原有的半导体基础,会成为接下来切入量子计算的优势。但也有说法称,量子电脑兴起后,地区半导体终将走上末路。关于当前英特尔7纳米制程放缓,一种较为激进的解读是,英特尔看到了量子计算的突破与乐观前景。

  2016年5月,刘德音在技术论坛上指出,台积电将致力于发展移动运算、高性能运算、汽车电子物联网平台,这些布局正是现如今被验证的高成长市场——所有的故事都以时间为轴,谁说远见不是竞争力?这话适用于先进制程大战的每一位玩家。

  人员接手试产及量产作业的种子团队,推动新竹宝山和高雄厂于 2024年同步南北试产、2025年量产。   从1971的10000nm

  决战2025 /

  封装业务进展,包括N2、N3、N4、N5、N6e等工艺节点,以及SoIC CoW、CoWoS-R、InFO_S、InFO_M_PoP等封装技术。

  的确认 /

  层等的“成膜”;以及在薄膜表面涂布光阻(感光性树脂),并利用相片黄光微影技术长出图案的“黄光微影”。

  而言较为滞后,但却在汽车和工业机械等领域得到了广泛应用,成为经济安全保障中的重要战略资源。

  板块总市值已上升14.3%,设备板块升幅更达23.5%,远远超过东证指数的增长率10.9%。

  产品出现了系统级封装(SiP)等新的封装方式,从技术实现方法出现了倒装(FlipChip),凸块(Bumping),晶圆级封装(Wafer

  封装技术 /

  产品占当期销售额的 15%,5 纳米产品占比达到了 35%,而 7 纳米产品则占据了 17%;整体上看,

  营收占比高达67% /

  之争:2nm战况激烈,1.8/1.4nm苗头显露 /

  工艺概览与氧化 /

  英特尔执行长PatGelsinger 透露,18A 已取得三家客户代工订单,希望年底前争取到第四位客户,

020-88889966